Biblioteca Julio Castiñeiras. Sistema de Información Integrado - Facultad de Ingeniería UNLP
Facultad de Ingeniería | 115 esq.47 | Horario: Lunes a Viernes 8 a 19 hs.
E-mail: bibcentral@ing.unlp.edu.ar

Ingresó como Anónimo Ver Carrito
  Inicio     Búsqueda Avanzada  
  Etiquetado     Ficha Bibliográfica / Catalográfica     MARC  
Información bibliografica (registro INGC-EBK-000865)
Ayuda con su búsqueda
Título:
Poly-SiGe for MEMS-above-CMOS Sensors by Pilar Gonzalez Ruiz, Kristin De Meyer, Ann Witvrouw.
Autor:
Gonzalez Ruiz, Pilar.
Otros autores:
| De Meyer, Kristin.  |  Witvrouw, Ann.  | 
Editado por:
Springer Netherlands :;Imprint: Springer,
Año de publicación:
2014.
Lugar de publicación:
Dordrecht :
Descripción física:
xvi, 199 p. :
ISBN:
9789400767997
Colección:
Springer Series in Advanced Microelectronics,
Materias:
| Characterization and Evaluation of Materials. | Circuits and Systems. | Microengineering. | Electronic Circuits and Devices. | Physics. | Materials science. | Electronic materials. | Optical materials. | Nanotechnology. | Electronic circuits. |
Notas:
Acknowledgements -- Abstract -- Symbols and Abbreviations -- Introduction -- Poly-SiGe As Piezoresistive Material -- Design of a Poly-SiGe Piezoresistive Pressure Sensor -- The Pressure Sensor Fabrication Process -- Sealing of Surface Micromachined Poly-SiGe Cavities -- Characterization of Poly-SiGe pressure sensors -- CMOS Integrated Poly-SiGe Piezoresistive Pressure Sensor -- Conclusions And Future Work -- Appendix A -- Appendix B -- Appendix C -- Appendix D.
Sumario:
Polycrystalline SiGe has emerged as a promising MEMS (Microelectromechanical Systems) structural material since it provides the desired mechanical properties at lower temperatures compared to poly-Si, allowing the direct post-processing on top of CMOS. This CMOS-MEMS monolithic integration can lead to more compact MEMS with improved performance. The potential of poly-SiGe for MEMS above-aluminum-backend CMOS integration has already been demonstrated. However, aggressive interconnect scaling has led to the replacement of the traditional aluminum metallization by copper (Cu) metallization, due to its lower resistivity and improved reliability. Poly-SiGe for MEMS-above-CMOS sensors demonstrates the compatibility of poly-SiGe with post-processing above the advanced CMOS technology nodes through the successful fabrication of an integrated poly-SiGe piezoresistive pressure sensor, directly fabricated above 0.13 ï_­m Cu-backend CMOS. Furthermore, this book presents the first detailed investigation on the influence of deposition conditions, germanium content and doping concentration on the electrical and piezoresistive properties of boron-doped poly-SiGe. The development of a CMOS-compatible process flow, with special attention to the sealing method, is also described. Piezoresistive pressure sensors with different areas and piezoresistor designs were fabricated and tested. Together with the piezoresistive pressure sensors, also functional capacitive pressure sensors were successfully fabricated on the same wafer, proving the versatility of poly-SiGe for MEMS sensor applications. Finally, a detailed analysis of the MEMS processing impact on the underlying CMOS circuit is also presented.
URL:
http://dx.doi.org/10.1007/978-94-007-6799-7
Tapa y contenido (Amazon.com)

El software empleado por esta biblioteca esta basado en el Koha Software OSS para gestion de Bibliotecas, y cumple estándares internacionales de informacion web

Número de visitantes: